draw timing diagrams from platformio code

This commit is contained in:
interfisch 2020-02-14 00:02:50 +01:00
parent f7f963167c
commit c801340243
2 changed files with 30 additions and 0 deletions

View File

@ -0,0 +1,15 @@
{signal: [
{name: 'clear', wave: '0.....1......0..0.0..1..1.1..0.'}, //controlBuffer
{name: 'clock', wave: '0..1..1......1..1.1..0..1.1..1.'}, //controlBuffer
{name: 'reset', wave: '0.....0......0..1.1..1..1.1..1.'}, //controlBuffer
{name: 'select', wave: '0..1..1......1..1.0..0..0.1..1.'}, //controlBuffer, selectBoard()
{name: '~Y', wave: 'x2.2....2..2...................', data: ['0','0','65535','0',]}, //columnBuffer
{name: '~Shift_SCL', wave: '01.............................'}, //high after init
{name: '~Shift_OE', wave: '01..0..........................'},
{name: 'Shift_RCK', wave: '01010.1010.1010.1010.10.1010.10',
node: '...a..b....c....d.e..f..g.h..i.'}, //pulses high after flushRegisters(). flushregisters writes controlBuffer and columnBuffer to output
],
config: { skin: 'narrow'}
}

View File

@ -0,0 +1,15 @@
{signal: [ //for each of the 25 columns
{name: 'clear', wave: 'x1..1..1......0..1..1..0.'}, //controlBuffer
{name: 'clock', wave: 'x1..0..1......1..0..1..1.'}, //controlBuffer
{name: 'reset', wave: 'x1..1..1......1..1..1..1.'}, //controlBuffer
{name: 'select', wave: 'x1..1..1......1..1..1..1.'}, //controlBuffer, selectBoard()
{name: '~Y', wave: '2........2..2............', data: ['0','ColumnX','0']}, //columnBuffer
{name: '~Shift_SCL', wave: 'x........................'}, //high after init
{name: '~Shift_OE', wave: 'x........................'},
{name: 'Shift_RCK', wave: 'x10.10.1010.1010.10.10.10',
node: '.j..k..l.m..n.o..p..q..r.'}, //pulses high after flushRegisters(). flushregisters writes controlBuffer and columnBuffer to output
],
config: { skin: 'narrow'}
}