[Eagle] Version="04 16 00" Platform="Windows" Serial="6A196E6E8C-LS-W-1CS" Globals="Globals" Desktop="Desktop" [Globals] AutoSaveProject=1 UsedLibrary="C:/Programme/EAGLE-4.16/lbr/19inch.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/40xx.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/41xx.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/45xx.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/74ac-logic.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/74ttl-din.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/74xx-eu.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/74xx-us.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/751xx.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/altera.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/am29-memory.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/amd-mach.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/analog-devices.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/ase.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/atmel.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/avr-1.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/axis.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/battery.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/burr-brown.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/busbar.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/buzzer.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/c-trimm.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/california-micro-devices.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/capacitor-wima.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/chipcard-siemens.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-3m.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amp-champ.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amp-micromatch.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amp-mt.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amp-quick.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amp.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-amphenol.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-berg.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-chipcard-iso7816.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-coax.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-commcon.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-conrad.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-deutsch.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-dil.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-erni.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-faston.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-friwo.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-harting-h.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-harting-ml.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-harting-v.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-harting.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-hirschmann.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-jack.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-jst.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-lsta.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-lstb.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-lumberg.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-molex.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-neutrik_ag.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-omron.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-panduit.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-pc.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-pc104.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-phoenix-254.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-phoenix-500.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-phoenix-508.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-ptr500.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-rib.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-shiua-chyuan.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-subd.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-thomas-betts.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-vg.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-wago-500.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-wago-508.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-wago.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-wago255.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-weidmueller-sl35.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/con-yamaichi.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/crystal.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/cypress.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/dc-dc-converter.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/diode.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/display-hp.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/display-lcd.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/ecl.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/etx-board.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/exar.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/fiber-optic-hp.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/fiber-optic-siemens.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/fifo.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/fox-electronics.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/frames.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/fuse.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/heatsink.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/holes.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/ic-package.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/inductor-coilcraft.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/inductor-neosid.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/inductors.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/infineon-tricore.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/infineon.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/isd.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/jumper.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/lattice.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/led.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/linear-technology.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/linear.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/marks.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/maxim.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-hitachi.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-idt.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-motorola-dram.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-nec.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-samsung.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory-sram.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/memory.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-fujitsu.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-harris.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-intel.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-mc68000.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-motorola.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-philips.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-samsung.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micro-siemens.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/microchip.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/micronas.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/motorola-sensor-driver.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/murata-filter.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/nec-lqfp100-pack.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-honeywell-3000.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-honeywell-4000.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-honeywell.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-micro-linear.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-trans-siemens.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-transmittter-hp.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/opto-vishay.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/optocoupler.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pal.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/piher.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pinhead.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/plcc-socket.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pld-intel.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/plxtech.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pot-vitrohm.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pot-xicor.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/pot.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/ptc-ntc.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/rcl.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/rectifier.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/ref-packages.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/relay.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-bourns.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-dil.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-net.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-power.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-ruf.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-shunt.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/resistor-sil.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/rf-micro-devices.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/semicon-smd-ipc.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/sensor-heraeus.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/silabs.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/smd-ipc.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/smd-special.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/solpad.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/special-pad.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/special.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/st-microelectronics.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/supply1.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/supply2.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/switch-dil.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/switch-misc.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/switch-omron.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/switch.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/telcom.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/telefunken.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/testpad.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/texas.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/toshiba.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/trafo-siemens.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/trafo-xicon.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/trafo.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor-fet.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor-npn.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor-pnp.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor-power.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor-small-signal.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/transistor.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/triac.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/tripas.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/tsop.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/uln-udn.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/v-reg-micrel.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/v-reg.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/varistor.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/wafer-scale-psd.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/wirepad.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/wuerth-elektronik.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/zetex.lbr" UsedLibrary="C:/Programme/EAGLE-4.16/lbr/zilog.lbr" [Win_1] Type="Board Editor" Loc="22 29 621 428" State=1 Number=1 File="main.brd" View="-39736 -27801 1627190 1018519" WireWidths=" 0 2540 3048 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 4064" PadDiameters=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0" PadDrills=" 5000 6000 7000 9000 10000 11000 12000 13000 14000 15000 16000 20000 22000 28000 32000 8000" ViaDiameters=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0" ViaDrills=" 5000 7000 8000 9000 10000 11000 12000 13000 14000 15000 16000 20000 22000 28000 32000 6000" HoleDrills=" 5000 7000 8000 9000 10000 11000 12000 13000 14000 15000 16000 20000 22000 28000 32000 6000" TextSizes=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 19304 21844 25400 38100 50800 64516 17780" PolygonSpacings=" 2540 3048 4064 6096 8128 10160 14224 16764 17780 19304 21844 25400 38100 50800 64516 12700" PolygonIsolates=" 2540 3048 4064 6096 8128 10160 12700 14224 16764 17780 19304 21844 25400 38100 64516 0" MiterRadiuss=" 2540 3175 6350 12700 25400 10000 20000 25000 50000 75000 100000 0" SmdSizes=" 3048 1524 4064 2032 6096 3048 8128 4064 10160 5080 12700 6604 14224 7112 16764 8128 17780 9144 19304 9652 21844 10668 25400 12700 38100 19304 50800 25400 64516 32512 12700 6350" WireBend=0 WireBendSet=0 WireCap=1 MiterStyle=0 PadShape=0 ViaShape=0 PolygonPour=0 PolygonRank=1 PolygonThermals=1 PolygonOrphans=0 TextRatio=8 PinDirection=3 PinFunction=0 PinLength=2 PinVisible=3 SwapLevel=0 ArcDirection=0 AddLevel=2 PadsSameType=0 Layer=16 [Win_2] Type="Control Panel" Loc="66 87 665 486" State=1 Number=0 [Desktop] Screen="1680 1050" Window="Win_1" Window="Win_2"